DOI QR코드

DOI QR Code

A Study of the Dependence of Effective Schottky Barrier Height in Ni Silicide/n-Si on the Thickness of the Antimony Interlayer for High Performance n-channel MOSFETs

  • Lee, Horyeong (Dep. Electronics Engineering, Chungnam National Univ.) ;
  • Li, Meng (Dep. Electronics Engineering, Chungnam National Univ.) ;
  • Oh, Jungwoo (Dep. School of Integrated Technology, Yonsei Univ.) ;
  • Lee, Hi-Deok (Dep. Electronics Engineering, Chungnam National Univ.)
  • Received : 2014.08.25
  • Accepted : 2014.11.13
  • Published : 2015.02.28

Abstract

In this paper, the effective electron Schottky barrier height (${\Phi}_{Bn}$) of the Ni silicide/n-silicon (100) interface was studied in accordance with different thicknesses of the antimony (Sb) interlayer for high performance n-channel MOSFETs. The Sb interlayers, varying its thickness from 2 nm to 10 nm, were deposited by radio frequency (RF) sputtering on lightly doped n-type Si (100), followed by the in situ deposition of Ni/TiN (15/10 nm). It is found that the sample with a thicker Sb interlayer shows stronger ohmic characteristics than the control sample without the Sb interlayer. These results show that the effective ${\Phi}_{Bn}$ is considerably lowered by the influence of the Sb interlayer. However, the current level difference between Schottky diodes fabricated with Sb/Ni/TiN (8/15/10 nm) and Sb/Ni/TiN (10/15/10 nm) structures is almost same. Therefore, considering the process time and cost, it can be said that the optimal thickness of the Sb interlayer is 8 nm. The effective ${\Phi}_{Bn}$ of 0.076 eV was achieved for the Schottky diode with Sb/Ni/TiN (8/15/10 nm) structure. Therefore, this technology is suitable for high performance n-channel MOSFETs.

Keywords

References

  1. H. Noda, et al, "Threshold Voltage Controlled 0.1-${\mu}m$ MOSFET Utilizing Inversion Layer as Extreme Shallow Source/Drain," IEEE International Electron Devices Meeting, pp. 123-126, Dec, 1993.
  2. A. Amerasekera, et al, "Correlating Drain Junction Scaling, Salicide Thickness, and Lateral NPN Behavior, with the ESD/EOS Performance of a $0.25{\mu}m$ CMOS Process," IEEE International Electron Devices Meeting, pp. 893-896, Dec, 1996.
  3. S. D. Kim, et al, "Advanced model and analysis of series resistance for CMOS scaling into nanometer regime," IEEE Transactions on Electron Devices, Vol. 49, No. 3, pp. 467-472, Mar, 2002. https://doi.org/10.1109/16.987118
  4. S. Shishiguchi, et al, "Boron Implanted Shallow Junction Formation by High-Temperature/ Short-Time/High-Ramping-Rate($400^{\circ}C$/sec) RTA," Symposium on VLSI Technology, pp. 89-90, Jun, 1997.
  5. R. T. P. Lee, et al, "Novel Nickel-Alloy Silicides for Source/Drain Contact Resistance Reduction in N-Channel Multiple-Gate Transistors with Sub-35nm Gate Length," IEEE International Electron Devices Meeting, pp. 1-4, Dec, 2006.
  6. T. Morimoto, et al, "Self-Aligned Nickel-Mono-Silicide Technology for High-Speed Deep Submicrometer Logic CMOS ULSI," IEEE Transactions on Electron Devices, Vol. 42, No. 5, pp. 915-922, May, 1995. https://doi.org/10.1109/16.381988
  7. H. Iwai, et al, "NiSi salicide technology for scaled CMOS," Microelectronic Engineering, Vol. 60, No. 1-2, pp. 157-169, Jan, 2002. https://doi.org/10.1016/S0167-9317(01)00684-0
  8. T. J. Kang, et al, "Reduction of Sheet Resistance and Low-Thermal-Budget Relaxation of Stress Gradients in Polysilicon Microcantilever Beams Using Nickel-Silicides," Journal of Microelctromechanical Systems, Vol. 16, No. 2, pp. 279-288, Apr, 2007. https://doi.org/10.1109/JMEMS.2007.892898
  9. S. D. Kim, et al, "An Integrated Methodology for Accurate Extraction of S/D Series Resistance Components in Nanoscale MOSFETs," IEEE International Electron Devices Meeting, pp. 149-152, Dec, 2005.
  10. S. Franssila, "Introduction to Microfabrication," Wiley, 2004.
  11. D. Deduytsche, "An in situ study of the stability of thin Ni-silicide layers," Ghent University, a doctoral dissertation, 2006.
  12. S. M. Sze, et al, "Physics of Semiconductor Devices," Wiley-Interscience, Third Edition, 2007.
  13. S. M. Koh, et al, "Contact-Resistance Reduction for Strained n-FinFETs With Silicon-Carbon Source/Drain and Platinum-Based Silicide Contacts Featuring Tellurium Implantation and Segregation," IEEE Transactions on Electron Devices, Vol. 58, No. 11, pp. 3852-3862, Nov, 2011. https://doi.org/10.1109/TED.2011.2166077
  14. S. M. Koh, et al, "Contact Technology for Strained nFinFETs With Silicon-Carbon Source/Drain Stressors Featuring Sulfur Implant and Segregation," IEEE Transactions on Electron Devices, Vol. 59, No. 4, pp. 1046-1055, Apr, 2012. https://doi.org/10.1109/TED.2012.2185799
  15. D. K. Wilson, et al, "Electron Spin Resonance Experiments on Donors in Silicon. III. Investigation of Excited States by the Application of Uniaxial Stress and Their Importance in Relaxation Process," Physical Review, Vol. 124, No. 4, pp. 1068-1083, Nov, 1961. https://doi.org/10.1103/PhysRev.124.1068
  16. Y. Tong, et al, "Selenium Segregation for Effective Schottky Barrier Height Reduction in NiGe/n-Ge Contacts," IEEE Electron Device Letters, Vol. 33, No. 6, pp. 773-775, Jun, 2012. https://doi.org/10.1109/LED.2012.2191760
  17. Z. Zhang, et al, "Schottky-Barrier Height Tuning by Means of Ion Implantation Into Preformed Silicide Films Followed by Drive-In Anneal," IEEE Electron Device Letters, Vol. 28, No. 7, pp. 565-568, Jul, 2007. https://doi.org/10.1109/LED.2007.900295