DOI QR코드

DOI QR Code

Soft Error Detection for VLIW Architectures with a Variable Length Execution Set

Variable Length Execution Set을 지원하는 VLIW 아키텍처를 위한 소프트 에러 검출 기법

  • 이종원 (서울대학교 전기정보공학부) ;
  • 조두산 (순천대학교 전자공학과) ;
  • 백윤흥 (서울대학교 전기정보공학부)
  • Received : 2012.12.11
  • Accepted : 2013.01.30
  • Published : 2013.03.31

Abstract

With technology scaling, soft error rate has greatly increased in embedded systems. Due to high performance and low power consumption, VLIW (Very Long Instruction Word) architectures have been widely used in embedded systems and thus many researches have been studied to improve the reliability of a system by duplicating instructions in VLIW architectures. However, existing studies have ignored the feature, called VLES (Variable Length Execution Set), which is adopted in most modern VLIW architectures to reduce code size. In this paper, we propose how to support instruction duplication in VLIW architecture with VLES. Our experimental results demonstrate that a VLIW architecture with VLES shows 64% code size decrement on average at the cost of about 4% additional cell area as compared to the case of a VLIW architecture without VLES when instruction duplication is applied to both architectures. Also, it is shown that the case with VLES does not cause extra execution time compared to the case without VLES.

공정 기술의 발전으로 인해 내장형 시스템에서 소프트 에러 발생 비율이 크게 증가하고 있다. 고성능, 저전력을 특징으로 하는 VLIW 아키텍처가 내장형 시스템에 널리 사용되어 왔는데, 이러한 VLIW 아키텍처에서 명령어 복제를 통해 소프트 에러를 감지하여 신뢰도를 높이고자 하는 연구가 진행되어 왔다. 하지만 기존 연구는 대부분의 상용 VLIW 아키텍처가 코드 크기 감소를 위해 사용하는 VLES 를 고려하지 않고 이루어졌다. 명령어 복제를 통한 신뢰도 향상을 위한 연구가 실용성 및 적용성을 갖추기 위해서는 VLES 를 지원하는 VLIW 아키텍처에 대해 이루어져야 한다. 이에 본 논문에서는 VLES 를 지원하는 VLIW 아키텍처에서 명령어 복제를 위해 필요한 설계 방법을 논하고 이에 따른 실험 결과를 제시하였다. 실험 결과 VLES 를 지원하지 않을 경우에 비해 약 4% 정도의 추가적인 하드웨어 비용을 들여 평균 64% 정도에 달하는 코드 크기 감소 효과를 얻을 수 있었고, 또한 실행 시간에는 추가적인 손실이 발생하지 않음을 알 수 있었다.

Keywords

References

  1. Rau, B.R., Fisher, J.A.: Instruction-level parallel processing: History, overview, and perspective. Journal of Supercomputing 7(1-2), 9-50 (1993). https://doi.org/10.1007/BF01205181
  2. ZHONG, H., FAN, K., MAHLKE, S., AND SCHLANSKER, M. 2005. A distributed control path architecture for vliw processors. In Parallel Architectures and Compilation Techniques, 2005. PACT 2005. 14th International Conference on. IEEE, pp.197-206.
  3. HU, J., LI, F., DEGALAHAL, V., KANDEMIR, M., VIJAYKRISHNAN, N., AND IRWIN, M. 2009. Compiler-assisted soft error detection under performance and energy constraints in embedded systems. ACM Transactions on Embedded Computing Systems (TECS) 8, 4, 27.
  4. LEE, J., YOUN, J., LEE, J., AHN, M., AND PAEK, Y. 2012. Dynamic operands insertion for VLIW architecture with a reduced bit-width instruction set. In Parallel Distributed Processing Symposium (IPDPS), 2012 IEEE 26th International. pp.119-130.
  5. Synopsys inc., http://www.synonpsys.com
  6. ZIVOJNOVIC, V., VELARDE, J., SCHLAGER, C., AND MEYR, H. 1994. DSPstone: A DSP-oriented benchmarking methodology. In Proc. of the Intern. Conf. on Signal Processing and Technology.