DOI QR코드

DOI QR Code

Analysis on the Performance and Temperature of the 3D Quad-core Processor according to Cache Organization

캐쉬 구성에 따른 3차원 쿼드코어 프로세서의 성능 및 온도 분석

  • Son, Dong-Oh (School of Electronics and Computer Engineering, Chonnam National University) ;
  • Ahn, Jin-Woo (School of Electronics and Computer Engineering, Chonnam National University) ;
  • Choi, Hong-Jun (School of Electronics and Computer Engineering, Chonnam National University) ;
  • Kim, Jong-Myon (School of Computer Engineering and Information Technology, University of Ulsan) ;
  • Kim, Cheol-Hong (School of Electronics and Computer Engineering, Chonnam National University)
  • 손동오 (전남대학교 전자컴퓨터공학부) ;
  • 안진우 (전남대학교 전자컴퓨터공학부) ;
  • 최홍준 (전남대학교 전자컴퓨터공학부) ;
  • 김종면 (울산대학교 컴퓨터정보통신공학부) ;
  • 김철홍 (전남대학교 전자컴퓨터공학부)
  • Received : 2012.01.10
  • Accepted : 2012.05.03
  • Published : 2012.06.30

Abstract

As the process technology scales down, multi-core processors cause serious problems such as increased interconnection delay, high power consumption and thermal problems. To solve the problems in 2D multi-core processors, researchers have focused on the 3D multi-core processor architecture. Compared to the 2D multi-core processor, the 3D multi-core processor decreases interconnection delay by reducing wire length significantly, since each core on different layers is connected using vertical through-silicon via(TSV). However, the power density in the 3D multi-core processor is increased dramatically compared to that in the 2D multi-core processor, because multiple cores are stacked vertically. Unfortunately, increased power density causes thermal problems, resulting in high cooling cost, negative impact on the reliability. Therefore, temperature should be considered together with performance in designing 3D multi-core processors. In this work, we analyze the temperature of the cache in quad-core processors varying cache organization. Then, we propose the low-temperature cache organization to overcome the thermal problems. Our evaluation shows that peak temperature of the instruction cache is lower than threshold. The peak temperature of the data cache is higher than threshold when the cache is composed of many ways. According to the results, our proposed cache organization not only efficiently reduces the peak temperature but also reduces the performance degradation for 3D quad-core processors.

공정기술이 지속적으로 발달함에 따라 멀티코어 프로세서는 성능 향상이라는 장점과 함께 내부 연결망의 긴 지연 시간, 높은 전력 소모, 그리고 발열 현상 등의 문제점들을 내포하고 있다. 이와 같은 2차원 멀티코어 프로세서의 문제점들을 해결하기 위한 방안 중 하나로 3차원 멀티코어 프로세서 구조가 주목을 받고 있다. 3차원 멀티코어 프로세서는 TSV를 이용하여 수직으로 쌓은 여러 개의 레이어들을 연결함으로써 2차원 멀티코어 프로세서와 비교하여 배선 길이를 크게 줄일 수 있다. 하지만, 3차원 멀티코어 프로세서에서는 여러 개의 코어들이 수직으로 적층되므로 전력밀도가 증가하고, 이로 인해 발열문제가 발생하여 높은 냉각 비용과 함께 신뢰성에 부정적인 영향을 유발한다. 따라서 3차원 멀티코어 프로세서를 설계할 때에는 성능과 함께 온도를 반드시 고려하여야 한다. 본 논문에서는 캐쉬 구성에 따른 3차원 쿼드코어 프로세서의 온도를 상세히 분석하고, 이를 기반으로 발열문제를 해결하기 위해저온도 캐쉬 구성 방식을 제안하고자 한다. 실험결과, 명령어 캐쉬는 최고온도가 임계값보다 낮고 데이터 캐쉬는 많은 웨이를 가지는 구성을 적용할 때 최고온도가 임계값보다 높아짐을 알 수 있다. 또한, 본 논문에서 제안하는 캐쉬구성은 쿼드코어 프로세서를 사용하는 3차원 구조에서 캐쉬의 온도 감소에 효과적일 뿐만 아니라 성능 저하 또한 거의 없음을 알 수 있다.

Keywords

References

  1. R. Kalla, S. Balaram, and J. M. Tendler, "IBM Power5 chip: a dual-core multithreaded processor," IEEE MICRO, Vol. 24, Issue 2, pp. 40-47, Mar-Apr. 2004. https://doi.org/10.1109/MM.2004.1289290
  2. P. Kongetira, K. Aingaran, and K. Olukotun, "Niagara: a 32-Way multithreaded Sparc processor," IEEE MICRO, Vol. 25, Issue 2, pp. 21-29, Mar-Apr. 2005. https://doi.org/10.1109/MM.2005.35
  3. Y. J. Kwon, C. D. Kim, S. R. Maeng, and J. H. Huh, "Virtualizing performance asymmetric multi-core systems," In Proceedings of 38th International Symposium on Computer Architecture, pp. 45-56, San Jose, USA, June. 2011.
  4. M. DeVuyst, A. Venkat, and D. M. Tullsen, "Execution migration in a heterogeneous-ISA chip multiprocessor," In Proceedings of 17th International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 261-272, London, UK, Mar. 2012.
  5. C. Zhu, Z. Gu, L. Shang, R. P. Dick, R. Joseph, "Three-dimensional chip-multiprocessor run-time thermal management," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 27, No. 8, pp. 1479-1492, Aug, 2008. https://doi.org/10.1109/TCAD.2008.925793
  6. S. W. Yoon, D. W. Yang, J. H. Koo, M. Padmanathan, and F. Carson, "3D TSV processes and its assembly/Packaging technology," In Proceedings of IEEE International Conference on 3D System Integration, pp. 1-5, SanFrancisco, USA, Sep. 2009.
  7. A. W. Topol, D. C. L. Tulipe, L. Shi, D. J. Frank, K. Bernstein, S. E. Steen, A. Kumar, G. U. Singco, A. M. Young, K. W. Guarini, and M. Ieong, "Three-dimensional integrated circuits," IBM Journal of Research and Development, Vol. 50, Issue. 4-5, pp. 491-506, Jul. 2006. https://doi.org/10.1147/rd.504.0491
  8. B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCaule, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb, "Die Stacking (3D) Microarchitecture," In Proceedings of 39th International Symposium on Microarchitecture, pp. 469-479, Orlando, USA, Dec. 2006.
  9. D. O. Son, J. W. Ahn, J. H. Park, J. M. Kim, and C. H. Kim, "Analysis on the Temperature of 3D Multi-core Processors according to Vertical Placement of Core and L2 Cache," Journal of The Korea Society of Computer and Information, Vol. 16, No. 6, pp. 1-10, June. 2011. https://doi.org/10.9708/jksci.2011.16.6.001
  10. D. H. Woo, N. H. Seong, D. L. Lewis, and H. H. S. Lee, "An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth," In Proceedings of 16th International Conference on High-Performance Computer Architecture, pp. 1-12, Bangalore, India, Jan. 2010.
  11. H. J. Choi, J. W. Ahn, H. B. Jang, J. M. Kim and C. H. Kim, "Thermal Pattern Comparison between 2D Multicore Processors and 3D Multicore Processors," Journal of The Korea Society of Computer and Information, Vol. 16, No. 9, pp. 1-10, Sep. 2011. https://doi.org/10.9708/jksci.2011.16.9.001
  12. A. K Coskun, A. B. Kahng, and T. S. Rosing, "Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures," In Proceedings of 12th Euromicro conference on Digital System Design, Architectures, Methods and Tools, pp. 183-190, Patras, Greece, Aug. 2009.
  13. E. Wong, and S. K. Lim, "3D Floorplanning with Thermal Vias," In Proceedings of the conference on Design, Automation and Test in Europe, pp. 878-883, Munich, Germany, Mar. 2006.
  14. A. K. Coskun, J. L. Ayala, D. Atienza, T. S. Rosing, and Y. Leblebici, "Dynamic Thermal Management in 3D Multicore Architectures," In Proceedings of Design, Automation & Test in Europe Conference & Exhibition, pp. 1410-1415, Nice, France, Apr. 2009.
  15. H. Homayoun, M. Rahmatian, V. Kontorinis, S. Golshan, and D. M. Tullsen, "Hot Peripheral Thermal Management to Mitigate Cache Temperature Variation," In Proceedings of International Symposium on Quality Electronic Design, pp. 755-763, Santa Claram, USA, Mar. 2012.
  16. M. Paul, and P. Petrov, "I-Cache Configurability for Temperature Reduction through Replicated Cache Partitioning," In Proceedings of Symposium on Application Specific Processors, pp. 81-86, Anaheim, USA, June. 2010.
  17. M. A. Z. Alves, H. C. Freitas, and P. O. A. Navaux, "Investigation of Shared L2 Cache on Many-Core Processors," In Proceedings of Architecture of Computing Systems, pp. 1-10, Delft, Netherlands, Mar. 2009.
  18. A. Fog, "The microarchitecture of Intel, AMD and VIA CPUs : An optimization guide for assembly programmers and compiler makers," Copenhagen University College of Engineering, Feb. 2012.
  19. J. W. Joyner, P. Zarkesh-Ha, and J. D. Meindl, "A Stochastic Global Net-length Distribution for a Three-Dimensional System on Chip (3D-SoC)," In Proceedings of the 14th IEEE International ASIC/SOC Conference, pp. 147-151, Arlington, USA, Sep. 2001.
  20. K. Puttaswamy, G. H. Loh, "Thermal Analysis of a 3D Die Stacked High Performance Microprocessor," In Proceedings of ACM Great Lakes Symposium on VLSI, pp. 19-24, Philadelphia, USA, Apr-May. 2006.
  21. W. -L. Hung, G. M. Link, X. Yuan, N. Vijaykrishnan, and M. J. Irwin, "Interconnect and Thermal-aware Floorplanning for 3D Microprocessors," In Proceedings of the 7th ISQED, pp. 98-104, San Jose, USA, March. 2006.
  22. K. Skadron, M. Stan, K. Sankaranarayana, W. Huang, S. Velusamy, and D. Tarjan, "Temperature-Aware Microarchitecture: Modeling and Implementation," ACM Transactions on Architecture and Code Optimization, Vol. 1, No. 1, pp. 94-125, Mar. 2004. https://doi.org/10.1145/980152.980157
  23. D. C. Burger, and T. M. Austin, "The SimpleScalar tool set, version 2.0," ACM SIGARCH CAN, Vol. 25, No. 3, pp. 13-25, Jun. 1997. https://doi.org/10.1145/268806.268810
  24. N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi. "Optimizing NUCA Organizations and Wiring Alternatives for Large Caches With CACTI 6.0," In Proceedings of 40th International Symposium on Microarchitecture, pp. 3-14, Chicago, USA, Dec. 2007.
  25. D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: a framework for architectural-level power analysis and optimizations," in Proceedings of the 27th International Symposium on Computer Architecture, pp. 83-94, Vancouver, Canada, Jun. 2000.
  26. W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan and S. Ghosh, "HotSpot: A Compact Thermal Modeling Method for CMOS VLSI Systems," IEEE Transactions on VLSI Systems, Vol 14, No 5, pp. 501-513, May. 2006. https://doi.org/10.1109/TVLSI.2006.876103
  27. R. E. Kessler, E. J. McLellan, and D. A. Webb, "The Alpha 21264 Microprocessor Architecture," In Proceedings of the ICCD '98, pp. 90-95, Austin, USA, Aug. 2002.
  28. K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranaratanan, and D. Tarjan, "Temperature-Aware Microarchitecture," In Proceedings of the 30th annual international symposium on Computer Architecture, pp. 2-13, San Diego, USA, June. 2003.
  29. SPEC CPU2000 Benchmarks, available at http://www.specbench.org