DOI QR코드

DOI QR Code

Dynamic Voltage and Frequency Scaling for Power-Constrained Design using Process Voltage and Temperature Sensor Circuits

  • Nan, Haiqing (Dept. of Electronics and Computer Engineering, Illinois Institute of Technology) ;
  • Kim, Kyung-Ki (School of Electronic Engineering, Daegu University) ;
  • Wang, Wei (Dept. of Electronics and Computer Engineering, Illinois Institute of Technology) ;
  • Choi, Ken (Dept. of Electronics and Computer Engineering, Illinois Institute of Technology)
  • Received : 2010.08.04
  • Accepted : 2010.08.31
  • Published : 2011.03.31

Abstract

In deeply scaled CMOS technologies, two major non-ideal factors are threatening the survival of the CMOS; i) PVT (process, voltage, and temperature) variations and ii) leakage power consumption. In this paper, we propose a novel post-silicon tuning methodology to scale optimum voltage and frequency "dynamically". The proposed design technique will use our PVT sensor circuits to monitor the variations and based on the monitored variation data, voltage and frequency will be compensated "automatically". During the compensation process, supply voltage is dynamically adjusted to guarantee the minimum total power consumption without violating the frequency requirement. The simulation results show that the proposed technique can reduce the total power by 85% and the static power by 53% on average for the selected ISCAS'85 benchmark circuits with 45 nm CMOS technology compared to the results of the traditional PVT compensation method.

Keywords

References

  1. N.S. Kim, J. Seomun, A. Sinkar, J. Lee, T.H. Han, K. choi, and Y. Shin, “Frequency and yield optimization using power gates in power-constrained designs,” in Proc. ISLPED, 2009, pp.121-126.
  2. S. Borkar, T. Karnik, and V. De, “Design and reliability challenges in nanometer technologies,” in Proc. DAC, 2004 Junuary, pp.75.
  3. D. Blaauw and F. Najm, “Leakage power: trends, analysis and avoidance,” in Proc. ASP-DAC, 2005 January, pp.18-21.
  4. Z. Songqing V. Wason, and K. Banerjee, “A probabilistic framework to estimate full chip subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations,” in Proc. ISLPED, 2004 August, pp.156-161.
  5. K. Agarwal et al., “Parametric yield analysis and optimization in leakage dominated technologies,” IEEE TVLSI, Vol.15, No.6, 2007 Junuary, pp.613-623.
  6. Y. Ogasahara, M. Hashimoto, and T. Onoye, “All-digital ring-oscillator-based macro for sensing dynamic supply noise waveform,” IEEE Solid-State Circuits, Vol.44, No.6, 2009, pp.1745-1755. https://doi.org/10.1109/JSSC.2009.2020192
  7. E. Saneyoshi, K.Nose, M. Kajita, and M. Mizuno, “A 1.1V $35{\mu}m{\times}35{\mu}m$ thermal sensor with supply voltage sensitivity of $2^{\circ}C$/10%-supply for thermal management on the SX-9 supercomputer,” IEEE Symposium on VLSI circuits, 2008, pp.152-153.
  8. K. K. Kim and Y. B. Kim, “A Novel Adaptive Design Methodology for Minimum Leakage Power Considering PVT Variations on Nanoscale VLSI Systems,” IEEE Tran. on VLSI systems, Vol.17, No.4, 2009, pp.517-528. https://doi.org/10.1109/TVLSI.2008.2007958
  9. “Predictive Technology Model for Spice” (http://ptm.asu.edu/)

Cited by

  1. Revisiting reorder buffer architecture for next generation high performance computing vol.65, pp.2, 2013, https://doi.org/10.1007/s11227-011-0734-x
  2. Timing Yield Slack for Timing Yield-Constrained Optimization and Its Application to Statistical Leakage Minimization vol.21, pp.10, 2013, https://doi.org/10.1109/TVLSI.2012.2220792