DOI QR코드

DOI QR Code

Hierarchical Multiplexing Interconnection Structure for Fault-Tolerant Reconfigurable Chip Multiprocessor

  • Kim, Yoon-Jin (Dept. of Computer Science, Sookmyung Women's University)
  • Received : 2011.07.13
  • Published : 2011.12.31

Abstract

Stage-level reconfigurable chip multiprocessor (CMP) aims to achieve highly reliable and fault tolerant computing by using interwoven pipeline stages and on-chip interconnect for communicating with each other. The existing crossbar-switch based stage-level reconfigurable CMPs offer high reliability at the cost of significant area/power overheads. These overheads make realizing large CMPs prohibitive due to the area and power consumed by heavy interconnection networks. On other hand, area/power-efficient architectures offer less reliability and inefficient stage-level resource utilization. In this paper, I propose a hierarchical multiplexing interconnection structure in lieu of crossbar interconnect to design area/power-efficient stage-level reconfigurable CMP. The proposed approach is able to keep the reliability offered by the crossbar-switch while reducing the area and power overheads. Experimental results show that the proposed approach reduces area by up to 21% and power by up to 32% when compared with the crossbar-switch based interconnection network.

Keywords

References

  1. N. Aggarwal, P. Ranganathan, N. P. Jouppi, and J. E. Smith, "Configurable isolation: building high availability systems with commodity multi-core processors," in Proc. of the 34th Annual International Symposium on Computer Architecture, pp.470-481, Jun., 2007.
  2. D. Sylvester, D. Blaauw, and E. Karl, "Elastic: An adaptive self-healing architecture for unpredictable silicon," IEEE Journal of Design and Test, Vol.23, No.6, pp.484-490, Dec., 2006. https://doi.org/10.1109/MDT.2006.145
  3. K. Bernstein, "Nano-meter scale cmos devices," in Proc. of the International Symoposium on Quality Electronic Design, p.7, Mar., 2004.
  4. S. Borkar, "Designing reliable systems from unreliable components: The challenges of transistor variability and degradation," IEEE Micro, Vol.25, No.6, pp.10-16, Nov., 2005. https://doi.org/10.1109/MM.2005.110
  5. Shantanu Gupta, Shunguang Feng, Amin Ansari, Jason Blome, and Scott Mahlke, "The StageNet Fabric for Constructing Resilient Mulitcore Systems," in Proc. of the 41st Annual International Symposium on Microarchitecture, pp.141-151, Nov., 2008.
  6. B. F. Romanescu and D. J. Sorin, "Core cannibalization architecture: Improving lifetime chip performance for multicore processor in the presence of hard faults," in Proc. of the 17th International Conference on Parallel Architectures and Compilation Techniques, pp.43-51, Oct., 2008.
  7. F. A. Bower, P. G. Shealy, S. Ozev, and D. J. Sorin, "Tolerating hard faults in microprocessor array structures," in Proc. of the 2004 International Conference on Dependable Systems and Networks, p.51, Jun., 2004.
  8. K. Constantinides, S. Plaza, J. Blome, B. Zhang, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky, "Bulletproof: A defect-tolerant CMP switch architecture," in Proc. of the 12th International Symposium on High-Performance Computer Architecture, pp.3-14, Feb., 2006.
  9. R. Amerson, R. J. Carter, W. B. Culbertson, P. Kuekes, and G. Snider, "Teramac - configurable custom computing," in Proc. of the 1995 International Symposium on FPGA's for Custom Computing Machines, pp.32-38, Apr., 1995.
  10. J. C. Smolens, B. T. Gold, B. Falsafi, and J. C. Hoe, "Reunion: Complexity-effective multicore redundancy," in Proc. of the 39th Annual International Symposium on Microarchitecture, pp.223-234, Nov., 2006.
  11. W. Bartlett and L. Spainhower, "Commercial fault tolerance: A tale of two systems", IEEE Transactions on Dependable and Secure Computing, Vol.1, No.1, pp.87-96, Jan., 2004. https://doi.org/10.1109/TDSC.2004.4
  12. D. Bernick, B. Bruckert, P. D. Vigna, D. Garcia, R. Jardine, J. Klecka, and J. Smullen, "Nonstop advanced architecture," in Proc. of International Conference on Dependable Systems and Networks, pp.12-21, Jun., 2005.
  13. W. Culbertson, R. Amerson, R. Carter, P. Kuekes, and G. Snider, "Defect tolerance on the teramac custom computer," in Proc. of the 1997 International Symposium on FPGA's for Custom Computing Machines, pp.116-123, Apr., 1997.
  14. L. Spainhower and T. Gregg, "IBM S/390 Parallel Enterprise Server G5 Fault Tolerance: A Historical Perspective," IBM Journal of Research and Development, Vol.43, No.5, pp.863-873, Sep., 1999. https://doi.org/10.1147/rd.435.0863
  15. K. Constantinides, S. Plaza, J. Blome, B. Zhang, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky. "Bulletproof: A defect-tolerant CMP switch architecture," in Proc. of the 12th International Symposium on High-Performance Computer Architecture, pp.3-14, Feb., 2006.
  16. P. Shivakumar, S. Keckler, C. Moore, and D. Burger, "Exploiting microarchitectural redundancy for defect tolerance," in Proc. of the 2003 International Conference on Computer Design, p.481, Oct., 2003.
  17. Terry Tao Ye, Luca Benini, and Giovanni De Micheli, "Analysis of Power Consumption on Switch Fabrics in Network Routers," in Proc. of Design Automation Conference, pp.524-529, Jun., 2002.
  18. N. P. Carter and A. Hussain, "Modeling wire delay, area, power, and performance in a simulation infrastructure," IBM Journal of Research Development, Vol.50, No.3, pp.311-319, Mar., 2006. https://doi.org/10.1147/rd.502.0311
  19. Gaisler Research; http://www.gaisler.com/cms
  20. Synopsys Corp. : http://www.synopsys.com
  21. Di Wu, Imyong Lee, Junwhan Ahan, and Kiyoung Choi, "Fast Generation of Multiple Custom Instructions under Area Constraints," Journal of Semiconductor Technology and Science, Vol.11, No.1, pp.51-58, Mar., 2011. https://doi.org/10.5573/JSTS.2011.11.1.051