DOI QR코드

DOI QR Code

환상형상 전극구조를 갖는 저압 RF plasma를 이용한 CF4 제거

Abatement of CF4 Using RF Plasma with Annular Shape Electrodes Operating at Low Pressure

  • 이재옥 (한국기계연구원 그린환경에너지기계연구본부) ;
  • 허민 (한국기계연구원 그린환경에너지기계연구본부) ;
  • 김관태 (한국기계연구원 그린환경에너지기계연구본부) ;
  • 이대훈 (한국기계연구원 그린환경에너지기계연구본부) ;
  • 송영훈 (한국기계연구원 그린환경에너지기계연구본부) ;
  • 이상윤 ((주)엘오티베큠 기술연구소) ;
  • 노명근 ((주)엘오티베큠 기술연구소)
  • Lee, Jae-Ok (Eco-machinery Research Division, Korea Institute of Machinery & Materials) ;
  • Hur, Min (Eco-machinery Research Division, Korea Institute of Machinery & Materials) ;
  • Kim, Kwan-Tae (Eco-machinery Research Division, Korea Institute of Machinery & Materials) ;
  • Lee, Dae-Hoon (Eco-machinery Research Division, Korea Institute of Machinery & Materials) ;
  • Song, Young-Hoon (Eco-machinery Research Division, Korea Institute of Machinery & Materials) ;
  • Lee, Sang-Yun (LOTVACUUM Co., Ltd., R&D) ;
  • Noh, Myung-Keun (LOTVACUUM Co., Ltd., R&D)
  • 투고 : 2010.09.28
  • 심사 : 2010.11.04
  • 발행 : 2010.12.31

초록

Abatement of perfluorocompounds (PFCs) used in semiconductor and display industries has received an attention due to the increasingly stricter regulation on their emission. In order to meet this circumstance, we have developed a radio frequency (RF) driven plasma reactor with multiple annular shaped electrodes, characterized by an easy installment between a processing chamber and a vacuum pump. Abatement experiment has been performed with respect to $CF_4$, a representative PFCs widely used in the plasma etching process, by varying the power, $CF_4$ and $O_2$ flow rates, $CF_4$ concentration, and pressure. The influence of these variables on the $CF_4$ abatement was analyzed and discussed in terms of the destruction & removal efficiency (DRE), measured with a Fourier transform infrared (FTIR) spectrometer. The results revealed that DRE was enhanced with the increase in the discharge power and pressure, but dropped with the $CF_4$ flow rate and concentration. The addition of small quantity of $O_2$ lead to the improvement of DRE, which, however, leveled off and then decreased with $O_2$ flow rate.

키워드

참고문헌

  1. Chang, M.B. and J.S. Chang (2006) Abatement of PFCs from semiconductor manufacturing processes by nonthermal plasma technologies; a critical review, Ind. Eng. Chem. Res., 45, 4101-4109. https://doi.org/10.1021/ie051227b
  2. Huang, H. and L. Tang (2009) Pyrolysis treatment of waste tire powder in a capacitive coupled RF plasma reactor, Energy Conversion and Management, 50, 611-617. https://doi.org/10.1016/j.enconman.2008.10.023
  3. Kiehlbauch, M.W. and D.B. Graves (2001) Temperature resolved modeling of plasma abatement of perfluorinated compounds, J. of Applied Physics, 89(4), 2047-2057. https://doi.org/10.1063/1.1337088
  4. Kim, K.-T., D.H. Lee, J.O. Lee, M.S. Cha, and Y.-H. Song (2010) $CF_4$ treatment characteristics using an elongated arc reactor, J. Korean Soc. Atmos. Environ., 26(1), 85-93. (in Korean with English abstract) https://doi.org/10.5572/KOSAE.2010.26.1.085
  5. Kim, K.-T., Y.-H. Kim, M.S. Cha, Y.-H. Song, S.-J. Kim, and J.-I. Ryu (2004) Decomposition characteristics of PFCs for various plasma discharge methods in dielectric barrier discharge, J. Korean Soc. Atmos. Environ., 20(5), 625-632. (in Korean with English abstract)
  6. Kuroki, T., J. Mine, M. Okubo, T. Yamamoto, and N. Saeki (2005) $CF_4$ decomposition using inductively coupled plasma: effect of power frequency, IEEE Transaction on Industry Applications, 41(1), 215-220. https://doi.org/10.1109/TIA.2004.840948
  7. Kuroki, T., J. Mine, S. Odahara, M. Okubo, T. Yamamoto, and N. Saeki (2005) $CF_4$ decomposition of flue gas from semiconductor prosess using inductively coupled plasma, IEEE Transaction on Industry Applications, 41(1), 221-228. https://doi.org/10.1109/TIA.2004.840954
  8. Lang, N., J. Roepcke, H. Zimmermann, A. Steinbach, and S. Wege (2009) In situ monitoring of plasma etch processes with a quantum cascade laser arrangement in semiconductor industrial environment, J. of Physics: Conference Series, 157, 012007. https://doi.org/10.1088/1742-6596/157/1/012007
  9. Nagai, M., M. Hori, and T. Goto (2005) Decomposition and polymerization of perfluororinated compounds in microwave-excited atmospheric pressure plasma, J. of Applied Physics, 97, 123304. https://doi.org/10.1063/1.1931034
  10. Tonnis, E.J., V. Vartanian, L. Beu, T. Lii, R. Jewett, and D. Graves (1998) Evaluation of a Litmas “Blue” pointof use (POU) plasma abatement device for perfluorocompounds (PFC) destruction, International SEMATECH Technol. Transfer 98123605A-ENG.
  11. Vartanian, V., L. Beu, T. Lii, B. Wofford, C. Hartz, and J. Bevan (1998) Evaluation of Rf environmental systems/Texas A&M university surface wave plasma device for abatement of perfluorocompounds (PFC) emissions, International SEMATECH, Technol. Transfer 98093561A-ENG.