An X-masking Scheme for Logic Built-In Self-Test Using a Phase-Shifting Network

위상천이 네트워크를 사용한 X-마스크 기법

  • Song, Dong-Sup (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University) ;
  • Kang, Sung-Ho (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
  • 송동섭 (연세대학교 전기전자공학과) ;
  • 강성호 (연세대학교 전기전자공학과)
  • Published : 2007.02.25

Abstract

In this paper, we propose a new X-masking scheme for utilizing logic built-in self-test The new scheme exploits the phase-shifting network which is based on the shift-and-add property of maximum length pseudorandom binary sequences(m-sequences). The phase-shifting network generates mask-patterns to multiple scan chains by appropriately shifting the m-sequence of an LFSR. The number of shifts required to generate each scan chain mask pattern can be dynamically reconfigured during a test session. An iterative simulation procedure to synthesize the phase-shifting network is proposed. Because the number of candidates for phase-shifting that can generate a scan chain mask pattern are very large, the proposed X-masking scheme reduce the hardware overhead efficiently. Experimental results demonstrate that the proposed X-masking technique requires less storage and hardware overhead with the conventional methods.

본 논문에서는 최대길이 의사무작위 이진 시퀀스(m-시퀀스)의 쉬프트-덧셈 특성에 근거한 위상천이를 이용하여 회로 출력에 나타나는 X-값을 효과적으로 마스크 함으로써 내장된 자체 테스트를 실현할 수 있는 기법을 제안한다. 이 기법은 패턴생성기인 LFSR의 출력을 적절하게 위상천이 하여 마스크 패턴을 생성할 수 있는 위상천이 네트워크를 이용한다. 테스트 절차 동안에 각 스캔 체인에 인가되는 마스크 패턴의 위상 천이 수는 재구성 가능하다. LFSR의 출력을 적절하게 위상 천이하여 모든 스캔 체인 마스크 패턴을 생성할 수 있는 위상천이 네트워크 합성 알고리즘을 제안한다. 본 논문에서 제안하는 X-마스크 회로는 각 스캔 체인 마스크 패턴을 생성할 수 있는 후보 위상천이 수가 많기 때문에 하드웨어 오버헤드를 효과적으로 감축할 수 있다. 실험을 통하여 제안된 위상천이를 이용한 X-마스크 회로는 기존의 연구 결과보다 훨씬 적은 저장공간과 하드웨어 오버헤드를 필요로 함을 증명한다.

Keywords

References

  1. E. H. Volkerink and S. Mitra, 'Response compaction with any number of unknowns using a new LFSR architecture,' Proc. of Design Automation Conference, pp. 117-122, 2005 https://doi.org/10.1145/1065579.1065614
  2. G. Hetherington, T. Fryars, N. Tamarapalli, M. Kassab, A. Hassan, and J. Rajski, 'Logic BIST for Large Industrial Designs: Real Issues and Case Studies,' Proc. of International Test Conference, pp. 358-367, 1999 https://doi.org/10.1109/TEST.1999.805650
  3. K. K. Saluja and M. Karpovsky, 'Testing computer hardware through data compression in space and time,' Proc. of International Test Conference, pp. 83-88, 1983
  4. Mitra and K. S. Kim, 'X-Compact: an efficient response compaction technique for test cost reduction,' Proc. of International Test Conference, pp. 311-320, 2002 https://doi.org/10.1109/TEST.2002.1041774
  5. J. Rajski, C. Wang, J. Yuszer, and S. M. Reddy, 'Convolutional compaction of test responses,' Proc. of International Test Conference, pp. 745-754, 2003
  6. C. Barnhart, V. Brunkhorst, F. Distler, O. Farnsworth, B. Keller, and B. Koenemann, 'OPMISR: The foundation for compressed ATPG Vectors,' Proc. of International Test Conference, pp. 748-757, 2001 https://doi.org/10.1109/TEST.2001.966696
  7. C. Barnhart, V. Brunkhorst, F. Distler, O. Farnsworth, A. Ferko, B. Keller, D. Soctt, and B. Koenemann, 'Extending OPMISR beyond 10x scan test efficiency,' IEEE Design & Test of Computers, Vol. 19, no. 5, Oct., pp. 65-73, 2002 https://doi.org/10.1109/MDT.2002.1033794
  8. J. Rajski, J. Tyszer, M. Kassab, N. Mukherjee, R. Thompson, K. H. Tsai, A. Hertwig, N. Tamarapalli, G. Mrugalski, G. Eide, and J. Qian, 'Embedded deterministic test for low cost manufacturing test,' Proc. of International Test Conference, pp. 301-310, 2002 https://doi.org/10.1109/TEST.2002.1041773
  9. M. Naruse, I. Pomeranz, S. M. Reddy, and S. Kundu, 'On-chip compression of output responses with unknown values using LFSR reseeding,' Proc. of International Test Conference, pp. 1060-1068, 2003 https://doi.org/10.1109/TEST.2003.1271094
  10. T. Clouqueur, K. Zarrineh, K. K. Saluja, and H. Fujiwara, 'Design and analysis of multiple weight linear compactors of responses containing unknown values,' Proc. of International Test Conference, pp. 2005 https://doi.org/10.1109/TEST.2005.1584077
  11. Y. Tang, H. J. Wunderlich, H. Vranken, F. Hapke, M. Wittke, P. Engelke, I. Polian, and B. Becker, 'X-Masking During Logic BIST and Its Impact on Defect Coverage,' Proc. of International Test Conference, pp. 441-451, 2004 https://doi.org/10.1109/TEST.2004.1386980
  12. P. H. Bardell, W. H. Mcanney, and J. Savir, Built-In Test for VLSI: Pseudorandom Technique, New York: Wiley, 1987
  13. J. Rajski and J. Tyszer, 'Design of phase shifters for BIST applications,' Proc. of VLSI Test Symposium, pp. 218-224, 1998 https://doi.org/10.1109/VTEST.1998.670871