FinFET for Terabit Era

  • Published : 2004.03.31

Abstract

A FinFET, a novel double-gate device structure is capable of scaling well into the nanoelectronics regime. High-performance CMOS FinFETs , fully depleted silicon-on-insulator (FDSOI) devices have been demonstrated down to 15 nm gate length and are relatively simple to fabricate, which can be scaled to gate length below 10 nm. In this paper, some of the key elements of these technologies are described including sub-lithographic pattering technology, raised source/drain for low series resistance, gate work-function engineering for threshold voltage adjustment as well as metal gate technology, channel roughness on carrier mobility, crystal orientation effect, reliability issues, process variation effects, and device scaling limit.

Keywords

References

  1. J. Brews, W. Fichtner, E.H. Nicollian, S.M. Sze, 'Generalized guide for MOSFET miniaturization', IEEE Electron Device Letters, Vol.1, p.2-4, 1980 https://doi.org/10.1109/EDL.1980.25205
  2. R.-H. Yam, A. Ourmazd, K.F. Lee, 'Scaling the Si MOSFET: from bulk to SOI to bulk', IEEE Transactions on Electron Device Letters, vol.39, p.1704-1710, 1992. https://doi.org/10.1109/16.141237
  3. Y. Taur, S. Wind, Y.J. Mii, Y. Lii, D. Moy, K.A. Jenkins, C.L. Chen, P.J. Coane, D. Klaus, J. Bucchignano, M. Rosenfield, M.G.R. Thomson, M. Polcari, 'Hign performance 0.1 um CMOS devices with 1.5 V power supply', IEEE IEDM Technical Digest, p.127-130, 1993. https://doi.org/10.1109/IEDM.1993.347383
  4. S.-H. Oh, J. M. Hergenrother, T. Nigam, D. Monroe, F. P. Klemens, A. Kornblit, W. M. Mansfield, M. R. Baker, D. L. Barr, F. H. Baumann, K. J. Bolan, T. Boone, N. A. Ciampa, R. A. Cirelli, D.J. Eaglesham, E. J. Ferry, A. T. Fiory, J. Frackoviak, J. P. Garno, H. J. Gossmann, J. L. Grazul, M. L. Green, S. J. Hillenius, R. W. Johnson, R. C. Keller, C. A. King, R. N. Kleiman, J. T.-C. Lee, J. F. Miner, M. D. Morris, C. S. Rafferty, J. M. Rosamilia, K. Short, T. W. Sorsch, A. G. Timko, G. R. Weber, G. D. Wilk, and J. D. Plummer, '50 nm Vertical Replacement Gate (VRG) pMOSFETs,' IEEE IEDM Technical Digest, p. 65-68, 2000. https://doi.org/10.1109/IEDM.2000.904260
  5. T. Su, J.P. Denton, G.W. Neudeck, 'New Planar Self-Aligned Double-Gate Fully-Depleted P-MOSFET's Using Epitaxial Lateral Overgrowth (ELO) and Selectively Grown Source/Drain (S/D)', Proceedings of IEEE International SOI Conference, p.110-111, 2000. https://doi.org/10.1109/SOI.2000.892794
  6. J.-H. Lee, G. Taraschi, A. Wei, T.A. Langdo, E.A. Fitzgerald, D.A. Antoniadis, 'Super self-aligned double-gate (SSDG) MOSFETs utilizing oxidation rate difference and selective epitaxy', IEEE IEDM Technical Digest, p.71-74, 1999. https://doi.org/10.1109/IEDM.1999.823849
  7. H.-S.P. Wong, K.K. Chan, Y. Taur, 'Self-aligned (top and bottom) double-gate MOSFET with a 25 nm thick silicon channel', IEEE IEDM Technical Digest, p.427-430, 1997. https://doi.org/10.1109/IEDM.1997.650416
  8. D. Hisamoto, T. Kaga, E. Takeda, 'Impact of the vertical SOI 'DELTA' structure on planar device technology', IEEE Transactions on Electron Devices, vol.38, p.1419-1424, 1991. https://doi.org/10.1109/16.81634
  9. X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E. Anderson, H. Takeuchi, Y.-K. Choi, K. Asano, V. Subramanian, T.-J. King, J. Bokor, C. Hu,'Sub-50 nm P-channel FinFET', IEEE Transactions on Electron Devices, vol.48, p.880-886, 2001 https://doi.org/10.1109/16.918235
  10. Y.-K. Choi, N. Lindert, P. Xuan, S. Tang, D. Ha, E. Anderson, T.-J. King, J. Bokor, C. Hu, 'Sub-20nm CMOS FinFET Technologies', IEEE IEDM Technical Digest, p.421-424, 2001. https://doi.org/10.1109/IEDM.2001.979526
  11. J. Kedzierski, D. M. Fried, E. J. Nowak, T. Kanarsky, J. H. Rankin, H. Hanafi, W. Natzle, D. Boyd, Y. Zhang, R. A. Roy, J. Newbury, C. Yu, Q. Yang, P. Saunders, C. P. Willets, A. Johnson, S. P. Cole, H. E. Young, N. Carpenter, D. Rakowski, B. A. Rainey, P. E. Cottrell, M. Ieong, and H.-S. P. Wong, 'High-performance symmetric-gate and CMOS-compatible Vt asymmetric-gate FinFET devices,' IEDM Technical Digest, pp. 437-440, 2001. https://doi.org/10.1109/IEDM.2001.979530
  12. F.-L. Yang, H.-Y. Chen, F.-C. Chen, Y.-L. Chan, K.-N. Yang, C.-J. Chen, H.-J. Tao, Y.-K. Choi, M.-S. Liang, C. Hu, '35nm CMOS FinFETs', Symposium on VLSI Technology Technical Digest, p.104-105, 2002. https://doi.org/10.1109/VLSIT.2002.1015409
  13. L. Chang, S. Tang, T.-J. King, J. Bokor, and C. Hu, 'Gate-Length Scaling and Threshold Voltage Control of Double-Gate MOSFETs,' IEDM Technical Digest, p.719-722, 2000. https://doi.org/10.1109/IEDM.2000.904419
  14. Y.-K. Choi, T.-J. King, C. Hu, 'A Spacer Patterning Technology for Nanoscale CMOS', IEEE Transactions on Electron Devices, vol.49, p.436-441, 2002. https://doi.org/10.1109/16.987114
  15. Y.-K. Choi, T.-J. King, C. Hu, 'Spacer FinFET : Nanoscale Double-Gate CMOS Technology for the Terabit Era', Solid-State Electronics, vol.46, p.1595-1601, 2002 https://doi.org/10.1016/S0038-1101(02)00111-9
  16. Y.-K. Choi, J. Zhu, J. Grunes, J. Bokor, and G.A. Somorjai, 'Fabrication of Sub--10nm Silicon Nanowire Arrays by Size Reduction Lithography', Journal of Physical Chemistry B, vol.107, p.3340-3343, 2003 https://doi.org/10.1021/jp0222649
  17. S. Tang , L. Chang, N. Lindert, Y.-K. Choi, W.-C. Lee, X. Huang, V. Subramanian, J. Bokor, T.-J. King, and C. Hu, 'FinFET: A Quasi-Planar Double-Gate MOSFET,'2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp. 118-119, 2001 https://doi.org/10.1109/ISSCC.2001.912568
  18. Y.-K. Choi, D. Ha, T.-J. King, C. Hu, 'Nanoscale ultrathin body PMOSFETs with raised selective germanium source/drain', IEEE Electron Device Letters, vol.22, p.447-448, 2001 https://doi.org/10.1109/55.944335
  19. M. Moslehi, 'Low-temperature in-situ dry cleaning process for epitaxial layer multiprocessing', Proceedings of the SPIE-The International Society for Optical Engineering, vol.1393, p.90-108, 1991 https://doi.org/10.1117/12.48969
  20. D. J. Frank, S. E. Laux, and M. V. Fischetti, 'Monte Carlo Simulation of a 30nm Dual-Gate MOSFET: How Short Can Si Go?' IEDM Technical Digest, p.553-556, 1992. https://doi.org/10.1109/IEDM.1992.307422
  21. R. Lin, Q. Lu, P. Ranade, T. -J. King, C. Hu, 'An Adjustable Work Function Technology Using Mo Gate for CMOS Devices', IEEE Electron Device Letters, vol. 23, p.49-51, 2002. https://doi.org/10.1109/55.974809
  22. P. Ranade, Y.-K. Choi, D. Ha, A. Agarwal, M. Ameen and T.-J. King, 'Tunable Work Function Molybdenum Gate Technology for FDSOI-CMOS', IEEE IEDM Technical Digest , Technical Digest, p.363-365, 2002. https://doi.org/10.1109/IEDM.2002.1175853
  23. D. Ha, P. Ranade, Y.-K. Choi, J.-S. Lee, T.-J. King and C. Hu, 'Ultra-Thin Body Silicon-on-Insulator (UTB-SOI) MOSFET with Metal Gate Work-Function Engineering for sub-70 nm Technology Node', Extended Abstracts of the 2002 International Conference on Solid State Devices and Materials, p.782-783, 2002
  24. H. Wakabayashi, Y. Saito, K. Takeuchi, T. Mogami, T. Kunio, 'A dual-metal gate CMOS technology using nitrogen-concentration-controlled TiNx film', IEEE Transactions on Electron Devices, vol.48, p.2363-2369, 2001. https://doi.org/10.1109/16.954478
  25. H. B. Michaelson, 'The Workfunction of the Elements and its Periodicity', Journal of Applied Physics, vol. 48, p.4729-4733, 1977 https://doi.org/10.1063/1.323539
  26. Y.-K. Choi, L. Chang, P. Ranade, J.-S. Lee, D. Ha, S. Balasubramanian, A. Agarwal, M. Ameen, T.-J. King, J. Bokor, 'FinFET Process Refinements for Improved Mobility and Gate Work Function Engineering, IEEE IEDM Technical Digest, p.259-262, 2002. https://doi.org/10.1109/IEDM.2002.1175827
  27. C. J. Petti, J. P. McVittie, J. D. Plummer, 'Characterization of Surface Mobility on the Sidewalls of Dry-Etched Trenches, IEEE IEDM Technical Digest, p.104-107, 1988. https://doi.org/10.1109/IEDM.1988.32763
  28. Y.-K. Choi, Doctoral Thesis, 'Nano-Fabrication Technologies and Novel Device Structures for Nanoscale CMOS', Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, 2001.
  29. SCHRED 2.0, Numerical Simulator, Purdue University, http://nanohub.purdue.edu.
  30. J.-S. Lee, Y.-K. Choi, D. Ha, S. Balasubramanian, T.-J. King, J. Bokor, 'Hydrogen Annealing Effect on DC and Low Frequency Noise Characteristics in CMOS FinFETs', IEEE Electron Device Letters, vol.24, p.186-188, 2003. https://doi.org/10.1109/LED.2003.809526
  31. M. Fadlallah, G. Ghibaudo, J. Jomaah, M. Zoaeter, and G. Guegan, 'Static and low frequency noise characterization of surface- and buried-mode $0.1{\mu}m$ P and N MOSFETs', Microelectronics Reliability, vol.42, p. 41-46, 2002 https://doi.org/10.1016/S0026-2714(01)00232-3
  32. Y.-K. Choi, D. Ha, E. Snow, J. Bokor, and T.-J. King, 'Reliability Study of CMOS FinFETs', IEEE IEDM Technical Digest, p.177-180, 2003. https://doi.org/10.1109/IEDM.2003.1269206
  33. T. Sato, Y. Takeishi, H. Hara, 'Mobility Anisotropy of Electrons in Inversion Layers on Oxidized Silicon Surfaces', Physical Review B, vol.4, p.1950-1960, 1971 https://doi.org/10.1103/PhysRevB.4.1950
  34. R. Chau, B. Doyle, J. Kavalieros, D. Barlage, A. Murthy, M. Doczy, R. Arghavani, S. Datta, 'Advanced Depleted-Substrate Transitor: Single-gate, Double-gate and Tri-gate', Proceedings of Solid State Devices and Materials, p.68-69, 2002.
  35. J. Colinge, M. Gao, A. Romano-Rodriguez, H. Maes, and C. Claeys, 'Silicon-on-Insulator 'gate all-around device','IEEE IEDM Technical Digest, p.595-598, 1990. https://doi.org/10.1109/IEDM.1990.237128
  36. MEDICI v2000.2 User'ss Manual, Avant! Corp., 2000.
  37. H. Majima, H. Ishikuro, and T. Hiramoto, 'Threshold voltage increase by quantum mechanical narrow channel effect in ultra-narrow MOSFETs,' IEEE IEDM Technical Digest, p.379-382, 1999. https://doi.org/10.1109/IEDM.1999.824174
  38. L. Chang, Y.-K. Choi, D. Ha, P. Ranade, S. Xiong, J. Bokor, C. Hu, and T.-J. King, 'Extremely Scaled Silicon Nano-CMOS Devices, Proceedings of the IEEE, vol.91, p. 1860-1873, 2003. https://doi.org/10.1109/JPROC.2003.818336
  39. L. Chang, Y.-K. Choi, J. Kedzierski, N. Lindert, P. Xuan, J. Bokor, C. Hu, and T.-J. King, 'Moore's Law Lives on', IEEE Circuits & Devices, vol.19, p.35-42, 2003 https://doi.org/10.1109/MCD.2003.1175106