전역적 비동기 지역적 동기 시스템을 위한 고성능 비동기식 접속장치

A High Performance Asynchronous Interface Unit for Globally-Asynchronous Locally-Synchronous Systems

  • 오명훈 (광주과학기술원 정보통신공학과) ;
  • 박석재 (충북대학교 반도체공학과) ;
  • 최호용 (충북대학교 전기전자컴퓨터공학부) ;
  • 이동익 (광주과학기술원 정보통신공학과)
  • 발행 : 2003.05.01

초록

GALS(Globally-Asynchronous Locally-Synchronous) 시스템은 대규모의 칩 설계 시에 설계의 용이성과 신뢰성을 확보할 수 있는 구조로 주목 받고 있다. 본 논문에서는 GALS 시스템에 필수적인 비동기 접속장치를 제안한다. 접속 장치는 크게 센더 모듈과 리시버 모듈로 구성되어 있으며, 센더 모듈에서는 부분적으로 내부 클록과는 무관하게 데이터 전송이 가능하다. 0.25um 공정의 게이트 레벨 표준 셀 라이브러리를 사용하여 설계하였고, 성능 향상 정도를 시뮬레이션을 통하여 예측할 수 있었다. 마지막으로, 접속장치를 장착한 GALS 구조의 예제 회로를 설계하여 올바르게 동작함을 확인하였다.

Globally-Asynchronous Locally-Synchronous (GALS) systems are worthy of notice as an adequate architecture for a large scaled chip design with guaranteeing easy designs and functional confidence. In this paper, we suggest an advanced structure of the interface unit which is indispensable for GALS systems by using stoppable clocks. The proposed interface unit is composed of a sender module and a receiver module. The sender module can carry out data transmission partially without the relation to an internal clock. We have designed it with 0.25${\mu}{\textrm}{m}$ standard cell library at the gate level and simulated its operation to show performance improvement. Finally, we constructed all example circuit with the interface unit and proved the correct operation of it.

키워드

참고문헌

  1. International Technology Roadmap for Semiconductors, Semiconductor Industry Association, 1999
  2. V.Tiawari et al., 'Reducing Power in High-performance Microprocessors,' 35th DAC, June 1998
  3. J. N. Seizvic, 'Pipeline synchronization,' in Proc. International Synposium on Adavanced Research in Asynchronous circuits and Systems, pp. 87-96, Jun. 1996
  4. M. Pechoucek, 'Anomalous Response Times of Input Synchronizers,' IEEE Trans. Comput., vol. C-25, no. 2 , Feb. 1976
  5. D. M. Chapiro, 'Globally-Asynchronous Locally-Synchronous Systems,' Ph.D. thesis, Stanford University, Oct. 1984
  6. C. Mead and L.Conway, Introduction to VLSI Systems, Addison-Wesley, 1980
  7. VanScheik, W.S. and Tinder, R.F., 'High speed externally asynchronous/internally clocked systems,' IEEE Trans. Comput., vol. 46, no. 7, pp. 824-829, Jul. 1997 https://doi.org/10.1109/12.599904
  8. K. Y. Yun and A. E. Dooply, 'Pausible clocking based heterogeneous systems,' IEEE Trans. VLSI Systems, vol. 7, no. 4, pp. 482-487, Dec. 1999 https://doi.org/10.1109/92.805755
  9. Jens Muttersbach, et al., 'Practical Design of Globally-Asynchronous Locally-Synchronous Systems,' in Proc. International Symposium on Asynchronous circuits and Systems, pp. 52-59, Apr. 2000 https://doi.org/10.1109/ASYNC.2000.836791
  10. S. W. Moore et. al., 'Self Calibrating Clocks for Globally Asynchronous Locally Synchronous Systems,' in Proc. International Conf. Computer Design, pp. 73-78, Sep. 2000 https://doi.org/10.1109/ICCD.2000.878271
  11. Furber, S.B. and Day, P., 'Four-phase micro pipeline latch control circuits,' IEEE Trans. VLSI Systems, vol. 4, no. 2, pp. 247-253, Jun. 1996 https://doi.org/10.1109/92.502196
  12. K. Y. Yun, 'Synthesis of Asynchronous Controllers for Heterogeneous Systems,' Ph.D. thesis, Stanford University, Aug. 1994
  13. IDEC Cell Library Data Book : IC Design Education Center, Jun. 2000